- PLDWorld -

 

Created by html help conversion utility.
  • Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers
  • Advertising & Talent Reach devs & technologists worldwide about your product, service or employer brand
  • OverflowAI GenAI features for Teams
  • OverflowAPI Train & fine-tune LLMs
  • Labs The future of collective knowledge sharing
  • About the company Visit the blog

Collectives™ on Stack Overflow

Find centralized, trusted content and collaborate around the technologies you use most.

Q&A for work

Connect and share knowledge within a single location that is structured and easy to search.

Get early access and see previews of new features.

How to assign pins in Quartus II

We are looking at moving some code into a CPLD or FPGA in order to make it faster. I have worked with Xilinks and their suite of tools before, but for some reason it was decided that we'd use Altera this time around so I am trying to get used to Quartus II.

In particular, I am looking for a way to explicityly assign pins to the chip I am using. In Xilinx, I'd edit the netlist file but I can find no such thing in Quartus. There is a program (Assignments => Pin Planner) that does this, but it has a clunky GUI and I'd far prefer to edit the pins in a text editor, so my twofold question is

A: How do I find the file that stores the pin assignment? It's not listed under my files in the project navigator but the pins I've assigned in Pin Planner stay from session to session so they must be stored somewhere .

B: Is this a horrible idea?

IDE is Quartus II 10.1 Development kit is MAX II Development Board Language is VHDL

EDIT: Right now, I've run into the problem that I'm trying to interface with the Dev Kit through USB. I'm making a serial data receiver on it and have given it a Data In pin. The Dev Kit has a USB receiver so I'm trying to map din to whichever pin the USB connector is on. According to a file I have (rm_maxII-develop_board-rev1.pdf) the USB connector is on "Board Designation U13" but when I go into the Pin PLanner and try to assign that, there is no PIN_U13. I suspect this is an error in the pdf, rather than in Pin Planner but seing as I've never worked with Altera products before, I'm very confused.

Qiu's user avatar

  • Ah, you posted here too. :-) I added answer at overmapped.com/questions/how-to-assign-pins-in-quartus-ii –  Prof. Falken Commented Apr 11, 2011 at 14:29
  • I did - slightly before I read about Overmapped and figured that'd be a better place for it. What's your user name on Overmapped? I see no replies from Amigable Clark Kant over there. –  shieldfoss Commented Apr 12, 2011 at 9:14
  • Jakob. It's here too if you look around hard enough. :-) –  Prof. Falken Commented Apr 12, 2011 at 9:26

A) You need to edit the *.qsf file, and add lines similar to the following:

B) While I will sometimes use the pin planner in the early stages of a design, I almost exclusively edit the qsf file directly when modifying pins, adding or removing VHDL files from the design, etc. To be completely safe, when making large changes (particularly to optimization or compiler settings) I will make sure Quartus is not running. I've been working this way with Quartus since it came out, and have not had any problems.

Once your design is compiled, you can refer to the *.pin file to see the final pinout for the FPGA. In particular, refer to the column indicating whether or not the pin is manually assigned, as any pins not specifically assigned to a location will change pretty much every time you recompile the chip (which is sub-optimal if you've already made a PCB! :).

Charles Steinkuehler's user avatar

  • @medivh, that I don't know, please tell us where you found it. –  Prof. Falken Commented Apr 13, 2011 at 10:04

Your Answer

Reminder: Answers generated by artificial intelligence tools are not allowed on Stack Overflow. Learn more

Sign up or log in

Post as a guest.

Required, but never shown

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy .

Not the answer you're looking for? Browse other questions tagged vhdl intel-fpga quartus or ask your own question .

  • The Overflow Blog
  • One of the best ways to get value for AI coding tools: generating tests
  • The world’s largest open-source business has plans for enhancing LLMs
  • Featured on Meta
  • User activation: Learnings and opportunities
  • Site maintenance - Mon, Sept 16 2024, 21:00 UTC to Tue, Sept 17 2024, 2:00...
  • What does a new user need in a homepage experience on Stack Overflow?
  • Announcing the new Staging Ground Reviewer Stats Widget

Hot Network Questions

  • How can I send instance attributes from Geometry Nodes to Shading Editor?
  • Twists of elliptic curves
  • siunitx dollar per hour broken going from SI to qty
  • Why was Panama Railroad in poor condition when US decided to build Panama Canal in 1904?
  • Is it possible to draw this picture without lifting the pen? (I actually want to hang string lights this way in a gazebo without doubling up)
  • ASCII 2D landscape
  • Does SpaceX Starship have significant methane emissions?
  • Equation of Time (derivation Analemma)
  • How to best characterize the doctrine deriving from Palsgraf?
  • How to avoid bringing paper silverfish home from a vacation place?
  • Concerns with newly installed floor tile
  • Do I have to use a new background that's been republished under the 2024 rules?
  • What would the natural diet of Bigfoot be?
  • How did NASA figure out when and where the Apollo capsule would touch down on the ocean?
  • Definition of annuity
  • Why do I often see bunches of medical helicopters hovering in clusters in various locations
  • Have metal ships ever used ramming as a regular tactic?
  • Copyright Fair Use: Is using the phrase "Courtesy of" legally acceptable when no permission has been given?
  • For a bike with "Forged alloy crank with 44T compact disc chainring", can the chainring be removed?
  • Example of two dinatural transformations between finite categories that do not compose
  • Rocky Mountains Elevation Cutout
  • Is Produce Flame a spell that the caster casts upon themself?
  • How many engineers/scientists believed that human flight was imminent as of the late 19th/early 20th century?
  • Why would the absence of Chalmers' 'consciousness' make p-zombie world 'inconceivable'?

assignment editor quartus ii

Stack Exchange Network

Stack Exchange network consists of 183 Q&A communities including Stack Overflow , the largest, most trusted online community for developers to learn, share their knowledge, and build their careers.

Q&A for work

Connect and share knowledge within a single location that is structured and easy to search.

Altera FPGA I/O weak pull ups

In altera FPGA documentation they make reference to a "I/O weak pullup" functionality.

I would like to use internal weak pull up instead of external pullups , avoiding a PCB modification.

It seems is possible to activate weak pull up for an I/O in quartus. But there is no information on how to activate it.

Cristian Mardones's user avatar

  • \$\begingroup\$ In the Assignment Editor, set the weak pull-up assignment to ON to enable the on-chip pull-up resistor for the pin. \$\endgroup\$ –  Qiu Commented Jul 27, 2016 at 13:00

3 Answers 3

There are two ways of doing it.

1. Pin Planner

The first approach is in the Pin Planner tool. This is the GUI that allows you to select which pin goes where. From the main window with your project open, go to the Assignments menu and select Pin Planner (or press Ctrl + Shift + N ).

In the pin planner window, in the All pins view at the bottom, right click on any column header, and select "Customise Columns":

Customise Columns

In the window that opens, scroll down in the left hand side and find "Weak Pull-up Resistor" (it's third one up from the bottom on mine). Click on that, then click the right arrow button to add it to the visible columns. Then click OK.

Show Weak Pull-Up

Now back in the Pin Planner window, you should see a new column called Weak Pull-Up Resistor. For each pin you wish to enable it on, simply click in the box for that column and select "On" (you can also type On into the box). By default it is turned "Off" - so if the column is blank, it means the same as if it says off.

Enable Pull-Up

2. Assignment Editor

You can also assign it manually with the "Assignment Editor" tool. This is useful if, say, you want to enable it for all pins in a bus, or even just all pins. You can use wildcards here.

Open the assignment editor tool in the main window by going to the "Assignments" menu and selecting "Assignment Editor" (or press Ctrl + Shift + A )

At the bottom of the list of assignments, there is a row where all entries are <<New>> . Click in the "Assignment Name" column and select "Weak Pull-Up Resistor". Then in the "Value" column, select "On". Finally in the "To" column, enter the pin name (which can include the wildcard character * ).

Assignment Editor

This will enable the pull-up for any pin matching the name in the "To" column.

Community's user avatar

  • \$\begingroup\$ Fantastic answer! BTW, how weak is the weak pullup? Nevermind, just found it, it's 25kiloohms to Vccio: profesores.fi-b.unam.mx/maixx/Biblioteca/Librero_Computacion/… \$\endgroup\$ –  Eyal Commented Nov 13, 2016 at 13:07

I would like to point out that the weak pullup resistors on an FPGA/SOC/MCU are not a replacement for real external pullups. So when devising a strategy to use it please take the following into account.

  • On-chip weak pullups are primarily for use when pins are left unused in a design and the pads do not have anything connected in the board etch artwork.
  • The on-chip weak pullup resistors are typically in the range of 50K to 100K ohms. An input trace connected to such is susceptible to coupling from neighboring noisy traces due to the relative high impedance of the net.
  • Circuit modes that operate in open drain or open collector mode will have lazy (slow) rise times due to the high resistance of weak pullups.
  • External circuits that depend upon a pullup to bias an external component such as an NPN transistor will likely not get enough source current due to the high resistance of weak pullup resistors.
  • Use of on-chip pullup resistors increases overall power consumption in a device when external circuits assert signal levels that counteract the pullups.
  • Unused pins with an external component provide a connection point for testing and board design re-work.

So my recommendation is to follow the best known design methodology that has been adopted at many companies, large and small, and use external pullup resistors on nets that come off an FPGA/SOC/MCU for connection to other parts of the board or to test points. Design recommendations such as these suggest pullups no greater than 10K ohms be used with 4.7K being generally better. Smaller values to be selected where warranted by the circuit requirements.

Note that nearly all the same comments and recommendations apply to the use of on-chip weak pull down resistors too.

Michael Karas's user avatar

  • \$\begingroup\$ Whom ever felt the need to downvote this answer has clearly never had real practical experience in high volume production electronics and the (a) variation of resistance value in silicon on chip resistors, (b) how high of resistance these on chip resistors really are, and (c) how susceptable circuits on boards that depend on only on on chip pullup/pulldown resistors are to upset due to real world electrical disturbances. \$\endgroup\$ –  Michael Karas Commented Mar 4, 2023 at 8:23

Within the qsf file, you can add the following: set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to pin_name

Chris Cornish's user avatar

Your Answer

Sign up or log in, post as a guest.

Required, but never shown

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy .

Not the answer you're looking for? Browse other questions tagged fpga vhdl quartus-ii quartus or ask your own question .

  • The Overflow Blog
  • One of the best ways to get value for AI coding tools: generating tests
  • The world’s largest open-source business has plans for enhancing LLMs
  • Featured on Meta
  • User activation: Learnings and opportunities
  • Site maintenance - Mon, Sept 16 2024, 21:00 UTC to Tue, Sept 17 2024, 2:00...

Hot Network Questions

  • Does carbon fiber wings need wing spar?
  • Subject verb agreement - I as well as he is/am the culprit
  • mmrm R package : No optimizer led to a successful model fit
  • Is it defamatory to publish nonsense under somebody else's name?
  • Why is the area covered by 1 steradian (in a sphere) circular in shape?
  • In Photoshop, when saving as PNG, why is the size of my output file bigger when I have more invisible layers in the original file?
  • The meaning of an implication in an existential quantifier
  • Is it a correct rendering of Acts 1,24 when the New World Translation puts in „Jehovah“ instead of Lord?
  • Does SpaceX Starship have significant methane emissions?
  • Remove all punctuation AND the values after it at end of string in R
  • Proper use of voices in more complicated melodies
  • Why Pythagorean theorem is all about 2?
  • Is downsampling a valid approach to compare regression results across groups with different sample sizes? If so, how?
  • How to prevent a bash script from running repeatedly at the start of the terminal
  • Rocky Mountains Elevation Cutout
  • Example of two dinatural transformations between finite categories that do not compose
  • Movie where a young director's student film gets made (badly) by a major studio
  • Should I change advisors because mine doesn't object to publishing at MDPI?
  • Why did early ASCII have ← and ↑ but not ↓ or →?
  • What was the main implementation programming language of old 16-bit Windows versions (Windows 1 - Windows 3.11)?
  • Copyright Fair Use: Is using the phrase "Courtesy of" legally acceptable when no permission has been given?
  • What is the rationale behind 32333 "Technic Pin Connector Block 1 x 5 x 3"?
  • Function with memories of its past life
  • zsh completion - ignore executable files with no dot in the name

assignment editor quartus ii

Success! Subscription added.

Success! Subscription removed.

Sorry, you must verify to complete this action. Please click the verification link in your email. You may re-send via your profile .

  • Intel Community
  • Product Support Forums
  • Intel® Quartus® Prime Software

Pin assignment does not show in graphic editor of Quartus II 16.1

  • Subscribe to RSS Feed
  • Mark Topic as New
  • Mark Topic as Read
  • Float this Topic for Current User
  • Printer Friendly Page

Altera_Forum

  • Mark as New
  • Report Inappropriate Content
  • All forum topics
  • Previous topic

Link Copied

FCasa3

Community support is provided Monday to Friday. Other contact methods are available here .

Intel does not verify all solutions, including but not limited to any file transfers that may appear in this community. Accordingly, Intel disclaims all express and implied warranties, including without limitation, the implied warranties of merchantability, fitness for a particular purpose, and non-infringement, as well as any warranty arising from course of performance, course of dealing, or usage in trade.

For more complete information about compiler optimizations, see our Optimization Notice .

  • ©Intel Corporation
  • Terms of Use
  • *Trademarks
  • Supply Chain Transparency

IMAGES

  1. Pin Assignment Solution for Quartus II

    assignment editor quartus ii

  2. 建立一个简单的quartus ii工程(重在流程)_quartus assignment editor-CSDN博客

    assignment editor quartus ii

  3. 2.4.1.1. Configuring I/O Assignments Using Assignment Editor

    assignment editor quartus ii

  4. Simulation in Quartus II v15.0

    assignment editor quartus ii

  5. 建立一个简单的quartus ii工程(重在流程)_quartus assignment editor-CSDN博客

    assignment editor quartus ii

  6. 建立一个简单的quartus ii工程(重在流程)_quartus assignment editor-CSDN博客

    assignment editor quartus ii

VIDEO

  1. Quartus II檔案設定、程式編輯和燒錄過程

  2. Quartus II для начинающих. Урок 3

  3. Quartus-II Simulation

  4. Quartus Основы №4

  5. Quartus II для начинающих. Урок 1

  6. Quartus II для начинающих. Урок 2

COMMENTS

  1. 3.3.4.1. Assignment Editor

    Introduction. 3.3.4.1. Assignment Editor. The Intel® Quartus® Prime Assignment Editor (Assignments > Assignment Editor) allows you to add device and placement constraints to a design. The Assignment Editor provides a spreadsheet-like interface for assigning all instance-specific settings and constraints. The Intel® Quartus® Prime software ...

  2. Assignment Editor (Assignments Menu)

    The Assignment Editor allows you to view, create, and edit assignments. The Intel ® Quartus ® Prime software dynamically validates changes that you make through the editor, and issues errors or warnings for invalid assignments.. The System tab of the Intel ® Quartus ® Prime message window. acknowledges adding or changing assignments.

  3. 2.3.6. Assignment Editor Options

    Intel® Quartus® Prime Pro Edition User Guide: Power Analysis and Optimization. Download PDF. ID 683174. Date 6/22/2022. ... Design Space Explorer II for Power-Driven Optimization 2.3. Power-Driven Compilation 2.4. ... The Assignment Editor allows you to select Optimization Technique & Synthesis Power Optimization for individual modules.

  4. Assignment Editor Introduction

    Assignment Editor Introduction. The Assignment Editor is the interface for creating and editing assignments in the Quartus ® II software. Assignments are logic functions you assign to a physical resource on the device, or compilation resources you assign to logic functions. The Category Bar contains all of the assignment types for the current ...

  5. PDF Using the Assignment Editor in the Quartus II Software

    the Assignment Editor, the Quartus II software writes the assignments to the appropriate settings files. Assignment Editor Features The Assignment Editor has many new and enhanced features. Enhanced Spreadsheet-Like Interface One of the key features of the Assignment Editor is the spreadsheet-based entry field. With the

  6. PDF Introduction to Quartus II Software

    The Altera® Quartus® II design software is a multiplatform design environment that easily adapts to your specific needs in all phases of FPGA and CPLD design. Quartus II software delivers the highest productivity and performance for Altera FPGAs, CPLDs, and HardCopy® ASICs. Quartus II software delivers superior synthesis and placement and ...

  7. Selecting Assignment names in Assignment editor of Quartus II

    Honored Contributor II. 01-03-2012 09:08 PM. 1,537 Views. well, in the assignments editor you have the information window which gives some basic information (surprise) about every assignment. I believe that the most used for synthesis are set pin location current strength weak pull up and you might find this one also useful: set virtual pin.

  8. Selecting Assignment names in Assignment editor of Quartus II

    Hi the brick, Thanks for your reply. But my question was different.For example,there are many assignments like Location,PCI/O,current strength,slew rate,virtual pin,I/O standard..etc) I know the usage of "Location" which is used to assign exact pin location.even i did use "current strength wi...

  9. PDF Quartus II and DE2 Manual

    Quartus II and DE2 Manual. Start the Quartus II software. You should see a display similar to the one in Figure 1. Fig. 1. To start working on a new design we first have to define a new design project. Create a new project as follows: Select File >New Project Wizard as shown in Figure 2 to reach the window in Figure 3. You can skip this window.

  10. What is difference between pin planner and Assignment editor?

    I thought by now you forgotten about it or figured it out by just trying it. Assignment editor is the tool for assigning various things including pins. Pin editor is special tool for pin assignment including a nice panorama of pins and I am sure you will like it better than assignment editor for pin assignment. 09-07-2012 07:07 PM. --- Quote ...

  11. Quartus ® Prime Pro Edition Help version 24.2

    New Features in this Release. Terminology. Using Help Effectively. Starting the Quartus® Prime Software (quartus.exe) From the Command Line. Quartus® Prime Command Menu Reference. File Menu. Family, Device & Board Settings Page (New Project Wizard) Use Existing Project Settings Dialog Box (New Project Wizard) Edit Menu.

  12. How do I fix pin assignments on a Quartus Prime Lite project?

    I selected Assignments > Import Assignments and imported DE1_SoC.qsf. When I open the assignment editor, the pins to which I had assigned nodes show up with question marks in their status column: In the bottom of the assignment editor, I see my earlier pin assignments: I start a compile. I get these messages:

  13. PDF Introduction to the Quartus II Manual

    The Altera ® Quartus II design software is the most comprehensive environment available for system-on- a-programmable-chip (SOPC) design. This manual is designed for the novice Quartus II software user and provides an overview of the capabilities of the Quartus II software in programmable logic design.

  14. [Quartus II] Assign pins and program to a device

    How to assign your ports to the correct pins and program to your board. http://seanstappas.me/ https://github.com/seanstappas

  15. vhdl

    8. A) You need to edit the *.qsf file, and add lines similar to the following: set_location_assignment PIN_AP30 -to qdr_q[35] B) While I will sometimes use the pin planner in the early stages of a design, I almost exclusively edit the qsf file directly when modifying pins, adding or removing VHDL files from the design, etc.

  16. Assignment Editor (Assignments Menu)

    The Assignment Editor allows you to view, create, and edit assignments. The Intel ® Quartus ® Prime software dynamically validates changes that you make through the editor, and issues errors or warnings for invalid assignments.. The System tab of the Intel ® Quartus ® Prime message window. acknowledges adding or changing assignments.

  17. vhdl

    Open the assignment editor tool in the main window by going to the "Assignments" menu and selecting "Assignment Editor" (or press Ctrl+Shift+A) At the bottom of the list of assignments, there is a row where all entries are <<New>>. Click in the "Assignment Name" column and select "Weak Pull-Up Resistor". Then in the "Value" column, select "On".

  18. Where can I find the Assignment Organizer in the Quartus® II

    Beginning with the Quartus&reg;&nbsp;II software version 3.0, the Assignment Organizer has been replaced by the Assignment Editor. There are many new features and enhancements with the Assignment Editor provid

  19. Pin Planner vs Assignments Editor : r/FPGA

    This will write the actual assignment to your Quartus setting file (.qsf) and then it should show up in the Assignment editor (which really is a graphical parser for your qsf file). Good practice is to close the Planner as well to make sure it wrote in the assignments. In general, I use the Pin Planner to pick pin locations, IO voltage and slew ...

  20. PDF Quartus II Introduction Using Schematic Design

    The Quartus II Graphic Editor can be used to specify a circuit in the form of a block diagram. Select File. > New to get the window in Figure 13, choose Block Diagram/Schematic File, and click OK. This opens the Graphic Editor window. The first step is to specify a name for the file that will be created.

  21. Selecting Assignment names in Assignment editor of Quartus II

    Honored Contributor II. 01-03-2012 09:08 PM. 764 Views. well, in the assignments editor you have the information window which gives some basic information (surprise) about every assignment. I believe that the most used for synthesis are set pin location current strength weak pull up and you might find this one also useful: set virtual pin.

  22. PDF Quartus II はじめてガイド

    本資料は、Quartus® II における Assignment Editor の使用方法を紹介しています。. Assignment Editor とは、あるプロジェクトにおけるユーザが設計した回路のピンやエンティティに対して、特定の設定や制約を設けるための Quartus II のスプレッド・シートです ...

  23. Pin assignment does not show in graphic editor of Quartus II 16.1

    1.-. The tedious one: Go pin by pin enlarging the box devoted to show the pin number. 2.-. The easy one: Go to Tools menu, select Options… and then select Fonts in the Block/Symbol Editor (in the left pane). On the right pane a box labeled Types of text will appear.